CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - floating point verilog

搜索资源列表

  1. FFT

    0下载:
  2. VERILOG CODE FOR FLOATING POINT 8 POINT FFT
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16084085
    • 提供者:gsp
  1. Float_add

    0下载:
  2. 该源码利用Verilog HDL语言成功实现了浮点数的加法运算,包括全部工程以及Verilog 源码,经验证,该程序成功实现了浮点数的加法。-The use of Verilog HDL source language of the successful implementation of floating-point addition operation, including all engineering and Verilog source code, proven, successful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:12144667
    • 提供者:zhu yue
  1. Verilog_add_div_multi_exp

    1下载:
  2. 使用verilog写的32位浮点数加法模块、浮点数乘法模块、浮点数除法模块、浮点数指数模块。指数模块是综合前面三个例化成泰勒级数求指数,迭代次数(可设置)决定了精度。-Use verilog write 32-bit floating-point addition module, floating-point multiplication module, floating-point division module, the floating point number index module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5407
    • 提供者:周和
  1. float_int

    0下载:
  2. 自己编写的,浮点数与整数之间的转换的Verilog HDL实现-Written by myself, it is converted into Verilog HDL integer floating point implementation
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:812
    • 提供者:baijin
  1. fpu_double

    0下载:
  2. The Verilog version of the code is in folder “fpu_double”, and the VHDL version is in folder “double_fpu”. There is a readme file in each folder, and a testbench file to simulate each core. These cores are designed to meet the IEEE 754 standard f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:244260
    • 提供者:丁一
  1. floatadd

    0下载:
  2. 32位浮点数加法,使用的语言是verilog。其中包括的是工程中的v文件。-32-bit floating-point addition, the use of language is verilog. Including is v of the engineering documents.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1605
    • 提供者:小王
  1. Kalman Filter

    0下载:
  2. 实现单精度浮点的kalman滤波器的verilog方法(Verilog method for realizing single precision floating point Kalman filter)
  3. 所属分类:数学计算

    • 发布日期:2018-05-01
    • 文件大小:322560
    • 提供者:sisuozheweilai
  1. fpmul

    2下载:
  2. Verilog语言编写的单精度浮点数乘法器(The Verilog language of single precision floating point multiplie)
  3. 所属分类:其他

    • 发布日期:2019-11-21
    • 文件大小:1024
    • 提供者:daodaih
« 1 2 3 4»
搜珍网 www.dssz.com